• 2022-11-02
    利用Verilog语言进行三八译码器设计。
  • module decoder(q,Y);input[2:0]q;output reg[7:0]Y;always @(q)begin case(q)3'b000:Y=8'b11111110;//输入为二进制000,转换为十进制为0,所以输出第0位有效(此处为低电平有效)3'b001:Y=8'b11111101;//输入为二进制001,转换为十进制为1,所以输出第1位有效(此处为低电平有效)3'b010:Y=8'b11111011;3'b011:Y=8'b11110111;3'b100:Y=8'b11101111;3'b101:Y=8'b11011111;3'b110:Y=8'b10111111;3'b111:Y=8'b01111111;//输入为二进制111,转换为十进制为7,所以输出第7位有效(此处为低电平有效)default:Y=8'b11111111;//其余情况,输出每一位均无效endcaseendendmodule

    内容

    • 0

      指令译码器的功能是: A: 对整条指令进行译码 B: 对指令的操作码字段进行译码 C: 对指令的地址进行译码 D: 对指令的操作数字段进行译码

    • 1

      译码器可分为( ) A: 二进制译码器 B: 十进制译码器 C: 显示译码器 D: 译码显示器

    • 2

      CPU中译码器的主要作用是进行()。 A: 地址译码 B: 指令译码 C: 数据译码 D: 选择多路数据至ALU

    • 3

      中国大学MOOC:在需进行大容量译码时,可将译码器芯片进行。

    • 4

      若RAM芯片有1024个单元,采用单译码,地址译码器有( )条译码输出线,采用双译码方式,地址译码器有( )条译码输出线。