• 2022-05-28 问题

    以4,9,1为为插值节点,求\(\sqrt x \)的lagrange的插值多项式 A: \( {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x - 1) + {1 \over {24}}(x - 4)(x - 9)\) B: \( - {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x - 1) + {1 \over {24}}(x - 4)(x - 9)\) C: \( - {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x +1) + {1 \over {24}}(x - 4)(x - 9)\) D: \( - {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x - 1) - {1 \over {24}}(x - 4)(x - 9)\)

    以4,9,1为为插值节点,求\(\sqrt x \)的lagrange的插值多项式 A: \( {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x - 1) + {1 \over {24}}(x - 4)(x - 9)\) B: \( - {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x - 1) + {1 \over {24}}(x - 4)(x - 9)\) C: \( - {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x +1) + {1 \over {24}}(x - 4)(x - 9)\) D: \( - {2 \over {15}}(x - 9)(x - 1) + {3 \over {40}}(x - 4)(x - 1) - {1 \over {24}}(x - 4)(x - 9)\)

  • 2022-06-18 问题

    (-24分之5)x(-18分之15)x(-1又3分之2)计算

    (-24分之5)x(-18分之15)x(-1又3分之2)计算

  • 2022-06-06 问题

    执行下列程序段后,x的值是( )。n = 5: x = 1: i = 1Do x = x * i i = i + 1Loop While i <; n A: 10 B: 15 C: 24 D: 120

    执行下列程序段后,x的值是( )。n = 5: x = 1: i = 1Do x = x * i i = i + 1Loop While i <; n A: 10 B: 15 C: 24 D: 120

  • 2022-06-27 问题

    用逻辑表达式表示数学条件5<=X<15,应为() A: X>5notX<15 B: X>=5X<15 C: X>5orX<15 D: X>=5andX<15

    用逻辑表达式表示数学条件5<=X<15,应为() A: X>5notX<15 B: X>=5X<15 C: X>5orX<15 D: X>=5andX<15

  • 2022-06-06 问题

    执行下列程序段后,x的值是( )。n = 5: x = 1: i = 1Do x = x * i i = i + 1Loop While i &lt; n A: 10 B: 15 C: 24 D: 120

    执行下列程序段后,x的值是( )。n = 5: x = 1: i = 1Do x = x * i i = i + 1Loop While i &lt; n A: 10 B: 15 C: 24 D: 120

  • 2022-06-12 问题

    已知直线ΑΒ的坐标方位角为348°15′24″,试求其象限角()。 A: 268°15′24″ B: 258°15′24″ C: 11°44′36″ D: 268°44′36″

    已知直线ΑΒ的坐标方位角为348°15′24″,试求其象限角()。 A: 268°15′24″ B: 258°15′24″ C: 11°44′36″ D: 268°44′36″

  • 2022-06-27 问题

    下列不能表示x范围为(5,15)的是( )。 A: 5<x<15 B: x>5 and x<15 C: x>5 or x<15 D: 15>x>5

    下列不能表示x范围为(5,15)的是( )。 A: 5<x<15 B: x>5 and x<15 C: x>5 or x<15 D: 15>x>5

  • 2022-07-28 问题

    优先编码器74LS148,当输入端[img=12x21]180305f446cebff.png[/img]=0,[img=15x24]180305f4502fac6.png[/img]=1,[img=15x24]180305f458bfb01.png[/img]=[img=15x24]180305f460e474e.png[/img]=[img=15x24]180305f4692c91d.png[/img]=[img=15x24]180305f47221102.png[/img]=[img=15x24]180305f47b22c76.png[/img]=[img=15x24]180305f48334f34.png[/img]=[img=15x24]180305f48c17fad.png[/img]=0时,输出端对( )进行编码。 A: [img=15x22]180305f494b38fb.png[/img] B: [img=15x22]180305f49cb081b.png[/img] C: [img=15x22]180305f4a517701.png[/img] D: [img=15x22]180305f4adb45aa.png[/img]

    优先编码器74LS148,当输入端[img=12x21]180305f446cebff.png[/img]=0,[img=15x24]180305f4502fac6.png[/img]=1,[img=15x24]180305f458bfb01.png[/img]=[img=15x24]180305f460e474e.png[/img]=[img=15x24]180305f4692c91d.png[/img]=[img=15x24]180305f47221102.png[/img]=[img=15x24]180305f47b22c76.png[/img]=[img=15x24]180305f48334f34.png[/img]=[img=15x24]180305f48c17fad.png[/img]=0时,输出端对( )进行编码。 A: [img=15x22]180305f494b38fb.png[/img] B: [img=15x22]180305f49cb081b.png[/img] C: [img=15x22]180305f4a517701.png[/img] D: [img=15x22]180305f4adb45aa.png[/img]

  • 2022-05-26 问题

    运算放大器及AD等芯片电源一般采用V() A: +5 B: +15 C: -15 D: +24 E: -24

    运算放大器及AD等芯片电源一般采用V() A: +5 B: +15 C: -15 D: +24 E: -24

  • 2022-06-01 问题

    Ifsin<em>x</em>=7/25,thentan<em>x</em>=______. A: 7/20 B: 24/25 C: 7/24 D: 24/7 E: 8/24

    Ifsin<em>x</em>=7/25,thentan<em>x</em>=______. A: 7/20 B: 24/25 C: 7/24 D: 24/7 E: 8/24

  • 1 2 3 4 5 6 7 8 9 10