• 2022-06-11
    逻辑表达式AB+B等于()。
    A: A
    B: B
    C: A+1
    D: AB
  • B

    内容

    • 0

      逻辑函数F=AB’+A’B的最简与非实现的表达式是( )。 A: F=((AB’)’(A’B)’)’ B: F=((AB’+A’B)’)’ C: F=AB’+A’B D: F=((A(AB)’)’(B(AB)’)’)’

    • 1

      在逻辑代数中,A+AB等于什么? A: A B: B C: 1 D: AB

    • 2

      逻辑电路图如下,其逻辑表达式为_______。[img=1848x598]18034ae9e0c2388.png[/img] A: F=(A+B')(A+B) B: F=AB'+AB C: F=(AB')'+AB D: F=(A+B')'(A+B)

    • 3

      逻辑表达式A+AB=()。 A: A B: AB C: A+B D: B

    • 4

      表示或门的逻辑表达式是() A: A+A(—)B B: A(—)B(—)+AB C: A(—)B+AB(—) D: AB