• 2021-04-14
    设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒,文件名:asm_led.vhd。(提示:时钟输入1hz,可用状态机来设计)