• 2022-05-28
    以下关于VHDL中的程序包,说法错误的是()
    A: 程序包可定义一些子程序、常量和用户数据类型,供多个设计实体共享
    B: 用户只能使用VHDL预定义的标准程序包,不能由用户自己定义程序包
    C: 程序包由程序包声明单元和程序包体单元两部分构成
    D: 在实体中引用一个程序包的格式为:Use库名.程序包名.项目名