• 2022-06-29
    时钟信号CLK在进程中为敏感信号,可用于检测CLK上升沿的语句有
    A: IF CLK'EVENT AND CLK=‘1’
    B: IF CLK'EVENT AND CLK=‘0’
    C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’
    D: IF rising_edge(CLK)
    E: IF CLK=‘1’
    F: wait until CLK=‘1’