• 2022-11-02
    在Verilog语言中,下列对时钟上升沿检测描述中正确的是________
    A: posedge clk
    B: negedge clk
    C: if clk’event and clk = ‘0’ then
    D: if clk’stable and not clk = ‘1’ then