• 2021-04-14
    下面Verilog代码对应的输出波形为?

    `timescale 1ns/1ns

    module test;

    reg clk,rst;

    initial fork

    clk=0;

    rst=1;


  • 举一反三