• 2022-06-11
    VHDL设计实体的基本结构有五部分组成:库(LIBRARY)、程序包(PACKAGE)、实体(ENTITY)、结构体(ARCHITECTURE)和配置(CONFIGURATION),其中()是设计实体的基本组成部分,可以构成基本的VHDL程序,
    A: 库(LIBRARY)
    B: 程序包(PACKAGE)
    C: 实体(ENTITY)
    D: 结构体(ARCHITECTURE)
    E: 配置(CONFIGURATION)