• 2022-06-07
    用Verilog HDL描述一个带有进位(或借位)的4bit加法-减法器。当控制信号con为0时,进行加法运算,当控制信号con为1时,进行减法运算 Module add_sub_4bit(a, b, ci, con, s, co); Input [3:0] a, b; Input ci, con; Output [3:0] s; Output co; Reg [3:0] s; Reg co; Always @ (________) begin If (con) {co, s} = a - b - ci; else {co, s} = a + b + ci; endmodule
    A: a, b, ci
    B: a, b, ci, con
    C: clk
    D: a, b