• 2022-06-26 问题

    门级建模中,以下调用语句正确的是( )。 A: or or1(out, in1 ,in2); B: or or1(in1 ,in2,out); C: buf b1(in, out1, out2); D: buf b1(out1, in, out2);

    门级建模中,以下调用语句正确的是( )。 A: or or1(out, in1 ,in2); B: or or1(in1 ,in2,out); C: buf b1(in, out1, out2); D: buf b1(out1, in, out2);

  • 2022-05-29 问题

    1、下面的代码综合后,存在几个触发器?(B)module reg_test(clk,in1,out1);input clk;input in1;output out1;reg reg1,reg2,reg3,out1;always@(posedge clk)beginreg1 = in1;reg2 = reg1;reg3 = reg2;out1 = reg3;endendmodule A: 4 B: 3 C: 0 D: 1

    1、下面的代码综合后,存在几个触发器?(B)module reg_test(clk,in1,out1);input clk;input in1;output out1;reg reg1,reg2,reg3,out1;always@(posedge clk)beginreg1 = in1;reg2 = reg1;reg3 = reg2;out1 = reg3;endendmodule A: 4 B: 3 C: 0 D: 1

  • 2021-04-14 问题

    指令是用于将IN输入端的源数据传送(复制)给OUT1输出的目的地址,并且转换为OUT1指定的数据类型,源数据保持不变

    指令是用于将IN输入端的源数据传送(复制)给OUT1输出的目的地址,并且转换为OUT1指定的数据类型,源数据保持不变

  • 2021-04-14 问题

    1 . To "blank out" is probably______.

    1 . To "blank out" is probably______.

  • 2022-06-07 问题

    Producer(){While(1){ ; ;Buffer(in)=m;In=(in+1)%n; ; ;}}consumer(){While(1){ ; ;m= Buffer(out);out=(out+1)%n; ; ;}

    Producer(){While(1){ ; ;Buffer(in)=m;In=(in+1)%n; ; ;}}consumer(){While(1){ ; ;m= Buffer(out);out=(out+1)%n; ; ;}

  • 2022-05-27 问题

    你想提醒别人要小心,应该说: A: Come in! B: Go out1 C: Be careful!

    你想提醒别人要小心,应该说: A: Come in! B: Go out1 C: Be careful!

  • 2022-06-08 问题

    下面哪种代码执行后是与其他结果不一样的? A: module mux2_1(a,b,sel,out); input a,b,sel; output out; assign out=(sel==1)?a:b; endmodule B: module mux2_1(a,b,sel,out); input a,b,sel; output out; reg out; always@(a or b or sel) begin case(sel) 0: out=a; 1: out=b; endcase end endmodule C: module mux2_1(a,b,sel,out); input a,b,sel; output out; reg out; always@(*) if(sel==0) out=a; else out=b; endmodule

    下面哪种代码执行后是与其他结果不一样的? A: module mux2_1(a,b,sel,out); input a,b,sel; output out; assign out=(sel==1)?a:b; endmodule B: module mux2_1(a,b,sel,out); input a,b,sel; output out; reg out; always@(a or b or sel) begin case(sel) 0: out=a; 1: out=b; endcase end endmodule C: module mux2_1(a,b,sel,out); input a,b,sel; output out; reg out; always@(*) if(sel==0) out=a; else out=b; endmodule

  • 2022-06-12 问题

    He was convicted of _________ investors out of$1 million.

    He was convicted of _________ investors out of$1 million.

  • 2021-04-14 问题

    Unit 1 - Speaking - Task 1 Find out the corresponding sentences according to the Chinese.

    Unit 1 - Speaking - Task 1 Find out the corresponding sentences according to the Chinese.

  • 2021-04-14 问题

    在下面代码中x为何值时输出结果为“out2”? switch(x){ case 1:System.out.println("out1");break; case 2: case 3:System.out.println("out2");break; default:System.out.println("end"); }

    在下面代码中x为何值时输出结果为“out2”? switch(x){ case 1:System.out.println("out1");break; case 2: case 3:System.out.println("out2");break; default:System.out.println("end"); }

  • 1 2 3 4 5 6 7 8 9 10