• 2022-07-28
    在VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把它们汇集在()中。
    A: 设计实体
    B: 程序库
    C: 结构体
    D: 程序包
  • 举一反三