• 2022-06-29
    在以下程序中,Clk_50M为频率为50MHz的系统时钟,则下列说法中正确的有reg Clk; reg [31:0] Cnt;always@(posedge Clk_50M or negedge Rst)begin if(!Rst) begin Cnt [= 1; Clk <= 1; end else begin if(Cnt ]= 25000000) begin Cnt <= 1; Clk <= ~Clk; end else Cnt<= Cnt + 1; endend
    A: 该模块为同步复位
    B: 该模块的功能是分频器
    C: 若系统时钟频率为50MHz,则输出Clk的频率为2MHz
    D: 该程序为时序逻辑电路
  • 举一反三