• 2022-06-09
    下面是一计数器的VerilogHDL程序,计数器的模( )。module Cnt4 (ClK, Q) ; output [3:0] Q ; input ClK ; reg[3:0] Q1; always @ (posedge CLK) Q1<=Q1+1; assign Q=Q1;endmodule
    A: 2
    B: 4
    C: 8
    D: 16