• 2021-04-14 问题

    SDH中STM—1的速率是  Mb/s。

    SDH中STM—1的速率是  Mb/s。

  • 2022-11-02 问题

    (多选题, 2分)时钟上升沿检测语句可以是? A: CLK'EVENT AND CLK='1' B: CLK'EVENT AND CLK='0' AND CLK'LAST_VALUE='1' C: CLK'LAST_VALUE='1' AND CLK='0' D: RISING_EDGE(CLK) E: FALLING_EDGE(CLK) F: CLK'EVENT AND CLK='1' AND CLK'LAST_VALUE='0' G: CLK'LAST_VALUE='0' AND CLK='1'

    (多选题, 2分)时钟上升沿检测语句可以是? A: CLK'EVENT AND CLK='1' B: CLK'EVENT AND CLK='0' AND CLK'LAST_VALUE='1' C: CLK'LAST_VALUE='1' AND CLK='0' D: RISING_EDGE(CLK) E: FALLING_EDGE(CLK) F: CLK'EVENT AND CLK='1' AND CLK'LAST_VALUE='0' G: CLK'LAST_VALUE='0' AND CLK='1'

  • 2022-10-29 问题

    ADC0809芯片,当CLK=500kHz时,转换时间为()μs。

    ADC0809芯片,当CLK=500kHz时,转换时间为()μs。

  • 2022-06-29 问题

    时钟信号CLK在进程中为敏感信号,可用于检测CLK上升沿的语句有 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IF rising_edge(CLK) E: IF CLK=‘1’ F: wait until CLK=‘1’

    时钟信号CLK在进程中为敏感信号,可用于检测CLK上升沿的语句有 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IF rising_edge(CLK) E: IF CLK=‘1’ F: wait until CLK=‘1’

  • 2022-11-02 问题

    下面对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’event and clk’last value=‘1’ then D: if clk’ not stable and clk = ‘1’ then

    下面对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’event and clk’last value=‘1’ then D: if clk’ not stable and clk = ‘1’ then

  • 2022-11-02 问题

    在所列对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’ not stable and clk = ‘1’ then D: if clk’event and clk’last value=‘1’ then

    在所列对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’ not stable and clk = ‘1’ then D: if clk’event and clk’last value=‘1’ then

  • 2021-04-14 问题

    CLK'EVENT AND CLK='1'表示CLK的 ( )

    CLK'EVENT AND CLK='1'表示CLK的 ( )

  • 2022-11-02 问题

    在Verilog语言中,下列对时钟上升沿检测描述中正确的是________ A: posedge clk B: negedge clk C: if clk’event and clk = ‘0’ then D: if clk’stable and not clk = ‘1’ then

    在Verilog语言中,下列对时钟上升沿检测描述中正确的是________ A: posedge clk B: negedge clk C: if clk’event and clk = ‘0’ then D: if clk’stable and not clk = ‘1’ then

  • 2022-11-02 问题

    时钟信号CLK在进程中为显式或隐式敏感信号,下面语句中不可用于检测CLK上升沿的是 。 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IFCLK=‘1’

    时钟信号CLK在进程中为显式或隐式敏感信号,下面语句中不可用于检测CLK上升沿的是 。 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IFCLK=‘1’

  • 2022-06-09 问题

    下面是一计数器的VerilogHDL程序,计数器的模( )。module Cnt4 (ClK, Q) ; output &#91;3:0&#93; Q ; input ClK ; reg&#91;3:0&#93; Q1; always @ (posedge CLK) Q1<=Q1+1; assign Q=Q1;endmodule A: 2 B: 4 C: 8 D: 16

    下面是一计数器的VerilogHDL程序,计数器的模( )。module Cnt4 (ClK, Q) ; output &#91;3:0&#93; Q ; input ClK ; reg&#91;3:0&#93; Q1; always @ (posedge CLK) Q1<=Q1+1; assign Q=Q1;endmodule A: 2 B: 4 C: 8 D: 16

  • 1 2 3 4 5 6 7 8 9 10