• 2022-10-26
    要实现异步复位(低电平有效)、时钟使能(高电平有效)、上升沿触发的D触发器设计:module dff_s (data,rst,en,clk,q);input data,rst,en,clk;output reg q;always (1) begin if( 2 ) q<=1'b0;; else if (3) q<=data;endendmodule(1)应该填写( )。
    A: @(posedge clk )
    B: @(posedge clk or posedge rst or en)
    C: @(posedge clk or negedge rst)
    D: @(posedge clk or negedge rst or en)
  • C

    内容

    • 0

      含同步复位控制的D触发器module DFF2(input CLK, input D, input RST, output reg Q);always@(posedge CLK)Q&lt;=____?0:D;endmodule空格处应该填入: A: CLK B: RST C: Q D: D

    • 1

      下列Verilog HDL程序所描述的电路是( )module MED(Q, DATA,CLK)input DATA,CLK;output Q;reg Q;always @ (posedge CLK)beginQ &lt;= DATA; endendmodule? RAM|T触发器|寄存器|D触发器

    • 2

      下面程序描述上升沿触发的D触发器,请将程序补充完整。 module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; reg Q ; always @ ( ( ) CLK) Q <= D ;Endmodule A: reg B: posedge C: negedge D: always

    • 3

      下边程序实现上升沿触发的D触发器的功能,请在括号处将程序补充完整。( )module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; ( ); always @ (posedge ClK) Q <;= D ;endmodule A: reg Q B: posedge D C: posedge Q D: reg D

    • 4

      异步时序电路module AMOD(D,A,CLK,Q); output Q; input A,D,CLK; reg Q,Q1; always @(posedge CLK)Q1 <= ~(A | Q); always @(posedge ____ )Q <= D;endmodule空格处应该填入: A: CLK B: Q1 C: D D: A