• 2021-04-14 问题

    如下Verilog HDL程序所描述的是一个触发器,对它的描...= DATA; endendmodule

    如下Verilog HDL程序所描述的是一个触发器,对它的描...= DATA; endendmodule

  • 2021-04-14 问题

    下面是将输入的4位二进制数转换成为两个8421 BCD码的程...10; end endendmodule

    下面是将输入的4位二进制数转换成为两个8421 BCD码的程...10; end endendmodule

  • 2021-04-14 问题

    下列Verilog HDL程序所描述的电路是( )module MED(Q, DATA,CLK)input DATA,CLK;output Q;reg Q;always @ (posedge CLK)beginQ <= DATA; endendmodule? RAM|T触发器|寄存器|D触发器

    下列Verilog HDL程序所描述的电路是( )module MED(Q, DATA,CLK)input DATA,CLK;output Q;reg Q;always @ (posedge CLK)beginQ <= DATA; endendmodule? RAM|T触发器|寄存器|D触发器

  • 2021-04-14 问题

    中国大学MOOC: 如下Verilog HDL程序所描述的是一个触发器,对它的描述正确的是( )module FF(Q,DATA,CLK)input DATA,CLK;output Q;reg Q;always @ (posedge CLK)beginQ <= DATA; endendmodule

    中国大学MOOC: 如下Verilog HDL程序所描述的是一个触发器,对它的描述正确的是( )module FF(Q,DATA,CLK)input DATA,CLK;output Q;reg Q;always @ (posedge CLK)beginQ <= DATA; endendmodule

  • 2022-05-29 问题

    判断以下Verilog代码片段,在modelsim软件里仿真时,out输出是否有正常的波形?module unit(……);reg clk;reg &#91;3:0&#93; out ;always @(posedge clk)beginout &lt;= out + 1;endendmodule A: 是 B: 否

    判断以下Verilog代码片段,在modelsim软件里仿真时,out输出是否有正常的波形?module unit(……);reg clk;reg &#91;3:0&#93; out ;always @(posedge clk)beginout &lt;= out + 1;endendmodule A: 是 B: 否

  • 2022-06-29 问题

    以下是任意位的计数器以及编写分频器的Verilog程序,请补充完整。module fpqi(clk_out,clk_in);;input clk_in;reg &#91;15:0&#93; cnt;reg clk_out;always @ (posedge clk_in)beginif(cnt==10000)begin clk_out <;= !clk_out; cnt<;=0; endelsecnt <;= cnt + 1;endendmodule

    以下是任意位的计数器以及编写分频器的Verilog程序,请补充完整。module fpqi(clk_out,clk_in);;input clk_in;reg &#91;15:0&#93; cnt;reg clk_out;always @ (posedge clk_in)beginif(cnt==10000)begin clk_out <;= !clk_out; cnt<;=0; endelsecnt <;= cnt + 1;endendmodule

  • 2022-05-29 问题

    1、下面的代码综合后,存在几个触发器?(B)module reg_test(clk,in1,out1);input clk;input in1;output out1;reg reg1,reg2,reg3,out1;always@(posedge clk)beginreg1 = in1;reg2 = reg1;reg3 = reg2;out1 = reg3;endendmodule A: 4 B: 3 C: 0 D: 1

    1、下面的代码综合后,存在几个触发器?(B)module reg_test(clk,in1,out1);input clk;input in1;output out1;reg reg1,reg2,reg3,out1;always@(posedge clk)beginreg1 = in1;reg2 = reg1;reg3 = reg2;out1 = reg3;endendmodule A: 4 B: 3 C: 0 D: 1

  • 2022-06-06 问题

    4选1数据选择器的代码如下:module mux_41( input &#91;3:0&#93; data, input &#91;1:0&#93; s. output reg y ); always @ * begin _______ 2'b00: y = data&#91;0&#93;;2'b01: y = data&#91;1&#93;; 2'b10: y = data&#91;2&#93;; 2'b11: y = data&#91;3&#93;; endcase endendmodule

    4选1数据选择器的代码如下:module mux_41( input &#91;3:0&#93; data, input &#91;1:0&#93; s. output reg y ); always @ * begin _______ 2'b00: y = data&#91;0&#93;;2'b01: y = data&#91;1&#93;; 2'b10: y = data&#91;2&#93;; 2'b11: y = data&#91;3&#93;; endcase endendmodule

  • 2022-06-29 问题

    阅读下列程序,并说明电路的功能。module half_clk(reset,clk_in,clk_out);input clk_in,reset;output clk_out;reg clk_out;always @(posedge clk_in)beginif(!reset) clk_out=0;else clk_out=~clk_out;endendmodule A: 二分频,同步复位 B: 二分频,异步复位 C: 四分频,同步复位 D: 四分频,异步复位

    阅读下列程序,并说明电路的功能。module half_clk(reset,clk_in,clk_out);input clk_in,reset;output clk_out;reg clk_out;always @(posedge clk_in)beginif(!reset) clk_out=0;else clk_out=~clk_out;endendmodule A: 二分频,同步复位 B: 二分频,异步复位 C: 四分频,同步复位 D: 四分频,异步复位

  • 2022-10-26 问题

    中国大学MOOC: 要实现异步复位(低电平有效)、时钟使能(高电平有效)、上升沿触发的D触发器设计:module dff_s (data,rst,en,clk,q);input data,rst,en,clk;output reg q;always (1) begin if( 2 ) q<=1b0;; else if (3) q<=data;endendmodule(1)应该填写( )。

    中国大学MOOC: 要实现异步复位(低电平有效)、时钟使能(高电平有效)、上升沿触发的D触发器设计:module dff_s (data,rst,en,clk,q);input data,rst,en,clk;output reg q;always (1) begin if( 2 ) q<=1b0;; else if (3) q<=data;endendmodule(1)应该填写( )。

  • 1 2