• 2022-07-25 问题

    以下的描述中,必然是对Mealy型状态机的描述的是? A: always@(*)case(state)S0:beginout=0;if(in)next_state=S1;elsenext_state=S2;end…… B: always@(*)case(state)S0:beginif(in)next_state=S1;elsenext_state=S0;end…… C: always@(*)case(state)S0:beginif(in)beginnext_state=S1;out=1endelsenext_state=S0;end…… D: 以上答案均不正确

    以下的描述中,必然是对Mealy型状态机的描述的是? A: always@(*)case(state)S0:beginout=0;if(in)next_state=S1;elsenext_state=S2;end…… B: always@(*)case(state)S0:beginif(in)next_state=S1;elsenext_state=S0;end…… C: always@(*)case(state)S0:beginif(in)beginnext_state=S1;out=1endelsenext_state=S0;end…… D: 以上答案均不正确

  • 2021-04-14 问题

    中国大学MOOC: 以下程序中,clk_50M为50MHz输入时钟,则clk为多少Hz的方波?always@(posedge clk_50M) beginif (cnt == 24999999)beginclk2_hz = 1b1;cnt = 0;end else begin cnt = cnt + 1;clk2_hz = 1b0; end endalways@(posedge clk2_hz) clk =~ clk;

    中国大学MOOC: 以下程序中,clk_50M为50MHz输入时钟,则clk为多少Hz的方波?always@(posedge clk_50M) beginif (cnt == 24999999)beginclk2_hz = 1b1;cnt = 0;end else begin cnt = cnt + 1;clk2_hz = 1b0; end endalways@(posedge clk2_hz) clk =~ clk;

  • 2022-06-29 问题

    以下是任意位的计数器以及编写分频器的Verilog程序,请补充完整。module fpqi(clk_out,clk_in);;input clk_in;reg &#91;15:0&#93; cnt;reg clk_out;always @ (posedge clk_in)beginif(cnt==10000)begin clk_out <;= !clk_out; cnt<;=0; endelsecnt <;= cnt + 1;endendmodule

    以下是任意位的计数器以及编写分频器的Verilog程序,请补充完整。module fpqi(clk_out,clk_in);;input clk_in;reg &#91;15:0&#93; cnt;reg clk_out;always @ (posedge clk_in)beginif(cnt==10000)begin clk_out <;= !clk_out; cnt<;=0; endelsecnt <;= cnt + 1;endendmodule

  • 2022-06-29 问题

    阅读下列程序,并说明电路的功能。module half_clk(reset,clk_in,clk_out);input clk_in,reset;output clk_out;reg clk_out;always @(posedge clk_in)beginif(!reset) clk_out=0;else clk_out=~clk_out;endendmodule A: 二分频,同步复位 B: 二分频,异步复位 C: 四分频,同步复位 D: 四分频,异步复位

    阅读下列程序,并说明电路的功能。module half_clk(reset,clk_in,clk_out);input clk_in,reset;output clk_out;reg clk_out;always @(posedge clk_in)beginif(!reset) clk_out=0;else clk_out=~clk_out;endendmodule A: 二分频,同步复位 B: 二分频,异步复位 C: 四分频,同步复位 D: 四分频,异步复位

  • 2022-06-29 问题

    关于以下程序,下列说法中正确的是module Learn10_2 (clk,rst_n,din,pos_clk,neg_clk)input clk,rst_n,din;output pos_clk,neg_clk;reg ctrl_this,ctrl_last;always@(posedge clk or negedge rst_n)beginif(!rst_n)beginctrl_this <= 0;ctrl_last <= 0;endelse beginctrl_this <= din;ctrl_last <=ctrl_thisendendassign pos_clk = ctrl_this&(!ctrl_last)endmodule A: 该程序的功能是上升沿检测 B: 该程序是同步复位 C: pos_clk是一个长度为两个时钟周期的脉宽信号 D: 该程序的功能是下降沿检测

    关于以下程序,下列说法中正确的是module Learn10_2 (clk,rst_n,din,pos_clk,neg_clk)input clk,rst_n,din;output pos_clk,neg_clk;reg ctrl_this,ctrl_last;always@(posedge clk or negedge rst_n)beginif(!rst_n)beginctrl_this <= 0;ctrl_last <= 0;endelse beginctrl_this <= din;ctrl_last <=ctrl_thisendendassign pos_clk = ctrl_this&(!ctrl_last)endmodule A: 该程序的功能是上升沿检测 B: 该程序是同步复位 C: pos_clk是一个长度为两个时钟周期的脉宽信号 D: 该程序的功能是下降沿检测

  • 1