• 2022-06-29 问题

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule[img=314x94]17d603ead880b55.png[/img] ( )

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule[img=314x94]17d603ead880b55.png[/img] ( )

  • 2022-06-29 问题

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule<img src="https://image.zhihuishu.com/zhs/doctrans/docx2html/202012/c171b55c15164ceabf263b09a3e3ab1b.png" /> ( )

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule<img src="https://image.zhihuishu.com/zhs/doctrans/docx2html/202012/c171b55c15164ceabf263b09a3e3ab1b.png" /> ( )

  • 2022-11-02 问题

    (多选题, 2分)时钟上升沿检测语句可以是? A: CLK'EVENT AND CLK='1' B: CLK'EVENT AND CLK='0' AND CLK'LAST_VALUE='1' C: CLK'LAST_VALUE='1' AND CLK='0' D: RISING_EDGE(CLK) E: FALLING_EDGE(CLK) F: CLK'EVENT AND CLK='1' AND CLK'LAST_VALUE='0' G: CLK'LAST_VALUE='0' AND CLK='1'

    (多选题, 2分)时钟上升沿检测语句可以是? A: CLK'EVENT AND CLK='1' B: CLK'EVENT AND CLK='0' AND CLK'LAST_VALUE='1' C: CLK'LAST_VALUE='1' AND CLK='0' D: RISING_EDGE(CLK) E: FALLING_EDGE(CLK) F: CLK'EVENT AND CLK='1' AND CLK'LAST_VALUE='0' G: CLK'LAST_VALUE='0' AND CLK='1'

  • 2022-06-03 问题

    大数据的一个重要特征就是数据量大。ZB和TB之间的换算关系是以下哪一个?() A: 1ZB=2的10次方TB B: 1ZB=2的20次方TB C: 1ZB=2的30次方TB D: 1ZB=240TB

    大数据的一个重要特征就是数据量大。ZB和TB之间的换算关系是以下哪一个?() A: 1ZB=2的10次方TB B: 1ZB=2的20次方TB C: 1ZB=2的30次方TB D: 1ZB=240TB

  • 2022-06-29 问题

    时钟信号CLK在进程中为敏感信号,可用于检测CLK上升沿的语句有 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IF rising_edge(CLK) E: IF CLK=‘1’ F: wait until CLK=‘1’

    时钟信号CLK在进程中为敏感信号,可用于检测CLK上升沿的语句有 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IF rising_edge(CLK) E: IF CLK=‘1’ F: wait until CLK=‘1’

  • 2021-04-14 问题

    CLK'EVENT AND CLK='1'表示CLK的 ( )

    CLK'EVENT AND CLK='1'表示CLK的 ( )

  • 2022-11-02 问题

    下面对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’event and clk’last value=‘1’ then D: if clk’ not stable and clk = ‘1’ then

    下面对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’event and clk’last value=‘1’ then D: if clk’ not stable and clk = ‘1’ then

  • 2022-11-02 问题

    在所列对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’ not stable and clk = ‘1’ then D: if clk’event and clk’last value=‘1’ then

    在所列对时钟上升沿检测的VHDL描述中,错误的是( )。 A: if clk’event and clk = ‘1’ then B: if falling_edge(clk) then C: if clk’ not stable and clk = ‘1’ then D: if clk’event and clk’last value=‘1’ then

  • 2022-11-02 问题

    时钟信号CLK在进程中为显式或隐式敏感信号,下面语句中不可用于检测CLK上升沿的是 。 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IFCLK=‘1’

    时钟信号CLK在进程中为显式或隐式敏感信号,下面语句中不可用于检测CLK上升沿的是 。 A: IF CLK'EVENT AND CLK=‘1’ B: IF CLK'EVENT AND CLK=‘0’ C: IF CLK=‘1’AND CLK'LAST_VALUE =‘0’ D: IFCLK=‘1’

  • 2022-11-02 问题

    在Verilog语言中,下列对时钟上升沿检测描述中正确的是________ A: posedge clk B: negedge clk C: if clk’event and clk = ‘0’ then D: if clk’stable and not clk = ‘1’ then

    在Verilog语言中,下列对时钟上升沿检测描述中正确的是________ A: posedge clk B: negedge clk C: if clk’event and clk = ‘0’ then D: if clk’stable and not clk = ‘1’ then

  • 1 2 3 4 5 6 7 8 9 10