• 2021-04-14 问题

    下列Verilog HDL程序所描述电路功能是( )module ShiftReg (Q,Din,CP,CLR_); input Din; //Serial Data inputs input CP, CLR_; //Clock and Reset output reg [3:0] Q; //Register output always @ (posedge CP or negedge CLR_) if (!CLR_) Q <= 4b0000; else begin //Shift right Q[0] <= Din; Q[3:1] <= Q[2:0]; endendmodule

    下列Verilog HDL程序所描述电路功能是( )module ShiftReg (Q,Din,CP,CLR_); input Din; //Serial Data inputs input CP, CLR_; //Clock and Reset output reg [3:0] Q; //Register output always @ (posedge CP or negedge CLR_) if (!CLR_) Q <= 4b0000; else begin //Shift right Q[0] <= Din; Q[3:1] <= Q[2:0]; endendmodule

  • 2022-07-02 问题

    要设计串行输入/8位并行输出的移位寄存器,关于端口定义正确的是( )。 A: module shift01(din,clk,rst_n,dout);input clk,rst_n;input din;output dout;...... B: module shift01(din,clk,rst_n,dout);input clk,rst_n;input [7:0] din;output dout;...... C: module shift01(din,clk,rst_n,dout);input clk,rst_n;input din;output [7:0] dout;...... D: module shift01(din,clk,rst_n,dout);input clk,rst_n;input [7:0] din;output[7:0] dout;......

    要设计串行输入/8位并行输出的移位寄存器,关于端口定义正确的是( )。 A: module shift01(din,clk,rst_n,dout);input clk,rst_n;input din;output dout;...... B: module shift01(din,clk,rst_n,dout);input clk,rst_n;input [7:0] din;output dout;...... C: module shift01(din,clk,rst_n,dout);input clk,rst_n;input din;output [7:0] dout;...... D: module shift01(din,clk,rst_n,dout);input clk,rst_n;input [7:0] din;output[7:0] dout;......

  • 2021-04-14 问题

    DIN式导轨的标准是mm

    DIN式导轨的标准是mm

  • 2021-04-14 问题

    下面是一个4位的双向移位寄存器程序,该程序正确吗?module UniversalShift (S1,S0,Din,Dsl,Dsr,Q,CP,CLR_); input S1, S0; //Select inputs input Dsl, Dsr; //Serial Data inputs input CP, CLR_; //Clock and Reset input [3:0] Din; //Parallel Data input output [3:0] Q; //Register output reg [3:0] Q; always @ (posedge CP or negedge CLR_) if (~CLR_) Q <= 4b0000; else case ({S1,S0}) 2b00: Q <= Q; //No change 2b01: Q <= {Dsr,Q[3:1]}; //Shift right 2b10: Q <= {Q[2:0],Dsl}; //Shift left 2b11: Q <= Din; //Parallel load input endcaseendmodule

    下面是一个4位的双向移位寄存器程序,该程序正确吗?module UniversalShift (S1,S0,Din,Dsl,Dsr,Q,CP,CLR_); input S1, S0; //Select inputs input Dsl, Dsr; //Serial Data inputs input CP, CLR_; //Clock and Reset input [3:0] Din; //Parallel Data input output [3:0] Q; //Register output reg [3:0] Q; always @ (posedge CP or negedge CLR_) if (~CLR_) Q <= 4b0000; else case ({S1,S0}) 2b00: Q <= Q; //No change 2b01: Q <= {Dsr,Q[3:1]}; //Shift right 2b10: Q <= {Q[2:0],Dsl}; //Shift left 2b11: Q <= Din; //Parallel load input endcaseendmodule

  • 2021-04-14 问题

    DIN送出的数据是先送的()位

    DIN送出的数据是先送的()位

  • 2021-04-14 问题

    ISO、ASA、DIN、GB为( )的四种标注方法。

    ISO、ASA、DIN、GB为( )的四种标注方法。

  • 2022-06-12 问题

    欧洲标准DIN EN 287-2表示()熔焊焊工考试标准。

    欧洲标准DIN EN 287-2表示()熔焊焊工考试标准。

  • 2021-04-14 问题

    DIN引脚是在CLK的()时稳定送出数据的

    DIN引脚是在CLK的()时稳定送出数据的

  • 2022-05-29 问题

    “建筑信息模型”指的是( ) A: BIN B: BIM C: DIN D: DIM

    “建筑信息模型”指的是( ) A: BIN B: BIM C: DIN D: DIM

  • 2022-06-12 问题

    联邦德国工业标准(DIN )、欧洲标准(EN)等,属区域性国家标准。

    联邦德国工业标准(DIN )、欧洲标准(EN)等,属区域性国家标准。

  • 1 2 3 4 5 6 7 8 9 10