• 2021-04-14
    中国大学MOOC: 如下Verilog HDL程序所描述的是一个触发器,对它的描述正确的是( )module FF(Q,DATA,CLK)input DATA,CLK;output Q;reg Q;always @ (posedge CLK)beginQ <= DATA; endendmodule
  • 该触发器对CLK信号的上升沿敏感。

    举一反三

    内容

    • 0

      下边程序实现上升沿触发的D触发器的功能,请在括号处将程序补充完整。( )module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; ( ); always @ (posedge ClK) Q <;= D ;endmodule A: reg Q B: posedge D C: posedge Q D: reg D

    • 1

      ​下面程序实现了上升沿触发的 D 触发器的功能,请在括号处将程序补充完整。( )‎​module DFFl (ClK, D,Q) ;‎​ output Q ;‎​ input ClK , D ;‎​ ( );‎​ always @ (posedge ClK)‎​ Q <;= D ;‎​endmodule‎​‎ A: reg Q B: posedge D C: posedge Q D: reg D

    • 2

      下边程序实现上升沿触发的D触发器的功能,请在括号处将程序补充完整。( )module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; ( ); always @ (posedge ClK) Q &lt;= D ;endmodule A: reg Q B: posedge D C: posedge Q D: reg D

    • 3

      中国大学MOOC: module CNT4(CLK,Q); output &#91;3:0&#93; Q; input CLK;reg ____ Q1 ; always @(posedge CLK)Q1 = Q1+1 ;assign Q = Q1; endmodule空格处应该填入:

    • 4

      异步时序电路module AMOD(D,A,CLK,Q); output Q; input A,D,CLK; reg Q,Q1; always @(posedge CLK)Q1 <= ~(A | Q); always @(posedge ____ )Q <= D;endmodule空格处应该填入: A: CLK B: Q1 C: D D: A