• 2022-06-29
    如下Verilog HDL程序所描述的是一个触发器,对它的描述正确的是( )module FF(Q,DATA,CLK)input DATA,CLK;output Q;reg Q; always @ (posedge CLK) begin Q <= DATA; endendmodule
    A: 该触发器对CLK信号的高电平敏感。
    B: 该触发器对CLK信号的低电平敏感。
    C: 该触发器对CLK信号的上升沿敏感。
    D: 该触发器对CLK信号的下降沿敏感。
  • C

    内容

    • 0

      下边程序实现上升沿触发的D触发器的功能,请在括号处将程序补充完整。( )module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; ( ); always @ (posedge ClK) Q &lt;= D ;endmodule A: reg Q B: posedge D C: posedge Q D: reg D

    • 1

      下边程序实现上升沿触发的D触发器的功能,请在括号处将程序补充完整。( )module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; ( ); always @ (posedge ClK) Q <;= D ;endmodule A: reg Q B: posedge D C: posedge Q D: reg D

    • 2

      中国大学MOOC: 要实现异步复位(低电平有效)、时钟使能(高电平有效)、上升沿触发的D触发器设计:module dff_s (data,rst,en,clk,q);input data,rst,en,clk;output reg q;always (1) begin if( 2 ) q<=1b0;; else if (3) q<=data;endendmodule(1)应该填写( )。

    • 3

      ​下面程序实现了上升沿触发的 D 触发器的功能,请在括号处将程序补充完整。( )‎​module DFFl (ClK, D,Q) ;‎​ output Q ;‎​ input ClK , D ;‎​ ( );‎​ always @ (posedge ClK)‎​ Q <;= D ;‎​endmodule‎​‎ A: reg Q B: posedge D C: posedge Q D: reg D

    • 4

      为了使触发器克服空翻现象,应采用()。 A: CLK高电平触发 B: CLK低电平触发 C: CLK边沿触发