• 2021-04-14
    下列Verilog HDL程序所描述的电路是( )module MED(Q, DATA,CLK)input DATA,CLK;output Q;reg Q;always @ (posedge CLK)beginQ <= DATA; endendmodule? RAM|T触发器|寄存器|D触发器
  • D触发器

    内容

    • 0

      下边程序实现上升沿触发的D触发器的功能,请在括号处将程序补充完整。( )module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; ( ); always @ (posedge ClK) Q <;= D ;endmodule A: reg Q B: posedge D C: posedge Q D: reg D

    • 1

      中国大学MOOC: 要实现异步复位(低电平有效)、时钟使能(高电平有效)、上升沿触发的D触发器设计:module dff_s (data,rst,en,clk,q);input data,rst,en,clk;output reg q;always (1) begin if( 2 ) q<=1b0;; else if (3) q<=data;endendmodule(1)应该填写( )。

    • 2

      ​下面程序实现了上升沿触发的 D 触发器的功能,请在括号处将程序补充完整。( )‎​module DFFl (ClK, D,Q) ;‎​ output Q ;‎​ input ClK , D ;‎​ ( );‎​ always @ (posedge ClK)‎​ Q <;= D ;‎​endmodule‎​‎ A: reg Q B: posedge D C: posedge Q D: reg D

    • 3

      读下面程序,该程序描述的是 ( ) 。module async_rddf(Clk, D,Q,Qb); input Clk, D;output reg Q,Qb;always @(posedge Clk)begin Q&lt;=D;Qb&lt;=~D;end endmodule A: 高电平敏感的D锁存器 B: 低电平触发的D锁存器 C: 上升沿触发的D触发器 D: 下降沿触发的D触发器

    • 4

      异步时序电路module AMOD(D,A,CLK,Q); output Q; input A,D,CLK; reg Q,Q1; always @(posedge CLK)Q1 &lt;= ~(A | Q); always @(posedge ____ )Q &lt;= D;endmodule空格处应该填入: A: CLK B: Q1 C: D D: A