• 2022-06-16
    用Verilog HDL设计用时钟clk的下降沿触发的同步计数器时,在always语句的敏感参数表中,必须包含有( )参数。
    A: clk
    B: posedge clk
    C: negedge clk
    D: negedge clk