• 2022-06-29 问题

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule[img=314x94]17d603ead880b55.png[/img] ( )

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule[img=314x94]17d603ead880b55.png[/img] ( )

  • 2022-06-29 问题

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule<img src="https://image.zhihuishu.com/zhs/doctrans/docx2html/202012/c171b55c15164ceabf263b09a3e3ab1b.png" /> ( )

    根据下面的程序,画出产生的信号clk、phase_clk的波形如图所示`timescale 1ns/10ps module clk_tb2; reg clk; wire phase_clk; initialclk=0; always begin #5 clk=1; #5 clk=0; endassign #2 phase_clk=clk endmodule<img src="https://image.zhihuishu.com/zhs/doctrans/docx2html/202012/c171b55c15164ceabf263b09a3e3ab1b.png" /> ( )

  • 1