• 2022-10-26
    下面程序功能是一个具有同步置1,异步清零0的D触发器。端口说明[br][/br] RST:异步清零CLK:时钟输入,SET:同步置1,EN:同步使能,D:数据输入,Q:数据输出。将横线上的语句补上,使程序形成完整功能。 module e5_3(RST,CLK,SET,EN,D,Q); input RST,CLK,SET,EN,D; ① reg Q; always@(②) begin if(③ ) Q<=0; else if(EN) begin if(④) Q<=1; else Q<=D; end end endmodule